Part Number Hot Search : 
MBRF10 UZZ7000T 42008 C186X 0000X SDB106 C2002 MX621P
Product Description
Full Text Search
 

To Download STDH150ASIC Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  samsung asic 3-367 stdh150 busholder cell list logic symbol cell name function description busholder bus holder y cell data input load (sl) gate count y 1.67 5.6
stdh150 3-368 samsung asic adders cell list cell name function description fa full adder with 1x drive fad2 full adder with 2x drive fad4 full adder with 4x drive ha half adder with 1x drive had2 half adder with 2x drive had4 half adder with 4x drive
samsung asic 3-369 stdh150 fa/fad2/fad4 full adder with 1x/2x/4x drive logic symbol cell data switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) fa input load (sl) gate count fa fad2 fad4 fa fad2 fad4 ci a b ci a b ci a b 1.1 1.0 1.1 1.1 1.0 1.1 1.1 1.0 1.0 8.00 9.00 10.67 ci a b s co path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* a to s t r 0.047 0.031 + 0.008*sl 0.025 + 0.009*sl 0.019 + 0.010*sl t f 0.044 0.028 + 0.008*sl 0.032 + 0.007*sl 0.028 + 0.007*sl t plh 0.121 0.110 + 0.005*sl 0.114 + 0.004*sl 0.117 + 0.004*sl t phl 0.121 0.109 + 0.006*sl 0.115 + 0.004*sl 0.123 + 0.004*sl b to s t r 0.049 0.032 + 0.009*sl 0.030 + 0.009*sl 0.020 + 0.010*sl t f 0.048 0.033 + 0.008*sl 0.036 + 0.007*sl 0.029 + 0.007*sl t plh 0.137 0.126 + 0.006*sl 0.131 + 0.004*sl 0.133 + 0.004*sl t phl 0.139 0.127 + 0.006*sl 0.133 + 0.004*sl 0.141 + 0.004*sl ci to s t r 0.043 0.026 + 0.009*sl 0.023 + 0.009*sl 0.016 + 0.010*sl t f 0.046 0.030 + 0.008*sl 0.035 + 0.007*sl 0.028 + 0.007*sl t plh 0.094 0.083 + 0.005*sl 0.087 + 0.004*sl 0.089 + 0.004*sl t phl 0.095 0.083 + 0.006*sl 0.090 + 0.004*sl 0.097 + 0.004*sl a to co t r 0.046 0.028 + 0.009*sl 0.027 + 0.009*sl 0.018 + 0.010*sl t f 0.044 0.028 + 0.008*sl 0.030 + 0.007*sl 0.028 + 0.007*sl t plh 0.120 0.109 + 0.005*sl 0.113 + 0.004*sl 0.115 + 0.004*sl t phl 0.122 0.110 + 0.006*sl 0.117 + 0.004*sl 0.125 + 0.004*sl b to co t r 0.050 0.032 + 0.009*sl 0.031 + 0.009*sl 0.021 + 0.010*sl t f 0.050 0.036 + 0.007*sl 0.037 + 0.007*sl 0.031 + 0.007*sl t plh 0.134 0.123 + 0.005*sl 0.127 + 0.004*sl 0.129 + 0.004*sl t phl 0.143 0.131 + 0.006*sl 0.137 + 0.004*sl 0.146 + 0.004*sl ci to co t r 0.042 0.023 + 0.009*sl 0.023 + 0.009*sl 0.017 + 0.010*sl t f 0.046 0.030 + 0.008*sl 0.034 + 0.007*sl 0.030 + 0.007*sl t plh 0.076 0.065 + 0.005*sl 0.068 + 0.004*sl 0.071 + 0.004*sl t phl 0.083 0.071 + 0.006*sl 0.078 + 0.004*sl 0.086 + 0.004*sl *group1 : sl < 4, *group2 : 4 sl < < = = 15, *group3 : 15 < sl truth table ci a b s co 00000 10010 00110 10101 01010 11001 01101 11111
stdh150 3-370 samsung asic fa/fad2/fad4 full adder with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) fad2 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* a to s t r 0.043 0.033 + 0.005*sl 0.035 + 0.004*sl 0.024 + 0.005*sl t f 0.045 0.035 + 0.005*sl 0.040 + 0.004*sl 0.039 + 0.004*sl t plh 0.126 0.119 + 0.003*sl 0.123 + 0.002*sl 0.130 + 0.002*sl t phl 0.129 0.121 + 0.004*sl 0.126 + 0.003*sl 0.141 + 0.002*sl b to s t r 0.043 0.032 + 0.005*sl 0.036 + 0.004*sl 0.026 + 0.005*sl t f 0.047 0.038 + 0.005*sl 0.042 + 0.003*sl 0.039 + 0.004*sl t plh 0.147 0.139 + 0.004*sl 0.144 + 0.002*sl 0.151 + 0.002*sl t phl 0.150 0.142 + 0.004*sl 0.147 + 0.003*sl 0.162 + 0.002*sl ci to s t r 0.038 0.028 + 0.005*sl 0.031 + 0.004*sl 0.022 + 0.005*sl t f 0.047 0.037 + 0.005*sl 0.043 + 0.004*sl 0.042 + 0.004*sl t plh 0.101 0.094 + 0.003*sl 0.098 + 0.002*sl 0.104 + 0.002*sl t phl 0.102 0.094 + 0.004*sl 0.100 + 0.003*sl 0.115 + 0.002*sl a to co t r 0.041 0.032 + 0.005*sl 0.033 + 0.005*sl 0.024 + 0.005*sl t f 0.046 0.037 + 0.005*sl 0.041 + 0.004*sl 0.041 + 0.004*sl t plh 0.125 0.118 + 0.003*sl 0.122 + 0.002*sl 0.129 + 0.002*sl t phl 0.130 0.122 + 0.004*sl 0.127 + 0.003*sl 0.143 + 0.002*sl b to co t r 0.046 0.036 + 0.005*sl 0.038 + 0.004*sl 0.026 + 0.005*sl t f 0.049 0.042 + 0.003*sl 0.041 + 0.004*sl 0.044 + 0.004*sl t plh 0.140 0.133 + 0.003*sl 0.138 + 0.002*sl 0.144 + 0.002*sl t phl 0.150 0.142 + 0.004*sl 0.148 + 0.003*sl 0.163 + 0.002*sl ci to co t r 0.038 0.028 + 0.005*sl 0.029 + 0.005*sl 0.022 + 0.005*sl t f 0.046 0.038 + 0.004*sl 0.039 + 0.004*sl 0.042 + 0.004*sl t plh 0.080 0.073 + 0.003*sl 0.077 + 0.002*sl 0.083 + 0.002*sl t phl 0.089 0.080 + 0.004*sl 0.086 + 0.003*sl 0.102 + 0.002*sl *group1 : sl < 4, *group2 : 4 sl < < = = 26, *group3 : 26 < sl
samsung asic 3-371 stdh150 fa/fad2/fad4 full adder with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) fad4 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* a to s t r 0.047 0.042 + 0.002*sl 0.043 + 0.002*sl 0.035 + 0.002*sl t f 0.054 0.048 + 0.003*sl 0.051 + 0.002*sl 0.054 + 0.002*sl t plh 0.141 0.137 + 0.002*sl 0.140 + 0.001*sl 0.152 + 0.001*sl t phl 0.146 0.142 + 0.002*sl 0.145 + 0.001*sl 0.166 + 0.001*sl b to s t r 0.048 0.042 + 0.003*sl 0.044 + 0.002*sl 0.035 + 0.002*sl t f 0.053 0.050 + 0.001*sl 0.048 + 0.002*sl 0.052 + 0.002*sl t plh 0.171 0.167 + 0.002*sl 0.170 + 0.001*sl 0.183 + 0.001*sl t phl 0.176 0.171 + 0.002*sl 0.175 + 0.001*sl 0.195 + 0.001*sl ci to s t r 0.044 0.037 + 0.003*sl 0.041 + 0.002*sl 0.032 + 0.002*sl t f 0.054 0.049 + 0.002*sl 0.050 + 0.002*sl 0.054 + 0.002*sl t plh 0.124 0.119 + 0.002*sl 0.122 + 0.001*sl 0.135 + 0.001*sl t phl 0.123 0.118 + 0.002*sl 0.122 + 0.001*sl 0.143 + 0.001*sl a to co t r 0.048 0.043 + 0.002*sl 0.044 + 0.002*sl 0.034 + 0.002*sl t f 0.053 0.049 + 0.002*sl 0.050 + 0.002*sl 0.055 + 0.002*sl t plh 0.140 0.136 + 0.002*sl 0.139 + 0.001*sl 0.151 + 0.001*sl t phl 0.146 0.141 + 0.002*sl 0.145 + 0.001*sl 0.166 + 0.001*sl b to co t r 0.049 0.044 + 0.003*sl 0.046 + 0.002*sl 0.037 + 0.002*sl t f 0.056 0.052 + 0.002*sl 0.052 + 0.002*sl 0.059 + 0.002*sl t plh 0.156 0.152 + 0.002*sl 0.155 + 0.001*sl 0.168 + 0.001*sl t phl 0.168 0.163 + 0.002*sl 0.166 + 0.001*sl 0.187 + 0.001*sl ci to co t r 0.041 0.035 + 0.003*sl 0.038 + 0.002*sl 0.033 + 0.002*sl t f 0.052 0.047 + 0.003*sl 0.050 + 0.002*sl 0.056 + 0.002*sl t plh 0.091 0.087 + 0.002*sl 0.090 + 0.001*sl 0.102 + 0.001*sl t phl 0.101 0.096 + 0.002*sl 0.100 + 0.001*sl 0.122 + 0.001*sl *group1 : sl < 4, *group2 : 4 sl < < = = 49, *group3 : 49 < sl
stdh150 3-372 samsung asic ha/had2/had4 half adder with 1x/2x/4x drive logic symbol cell data schematic diagram input load (sl) gate count ha had2 had4 ha had2 had4 ababab 2.0 2.1 2.0 2.2 2.0 2.3 4.67 5.67 7.33 a b s co a s co b truth table absco 0000 0110 1010 1101
samsung asic 3-373 stdh150 ha/had2/had4 half adder with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) ha had2 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* a to s t r 0.042 0.023 + 0.009*sl 0.024 + 0.009*sl 0.016 + 0.010*sl t f 0.045 0.031 + 0.007*sl 0.032 + 0.007*sl 0.029 + 0.007*sl t plh 0.085 0.074 + 0.005*sl 0.078 + 0.004*sl 0.079 + 0.004*sl t phl 0.088 0.076 + 0.006*sl 0.082 + 0.004*sl 0.089 + 0.004*sl b to s t r 0.045 0.030 + 0.008*sl 0.023 + 0.009*sl 0.016 + 0.010*sl t f 0.042 0.026 + 0.008*sl 0.031 + 0.007*sl 0.026 + 0.007*sl t plh 0.073 0.062 + 0.005*sl 0.065 + 0.004*sl 0.067 + 0.004*sl t phl 0.073 0.061 + 0.006*sl 0.067 + 0.004*sl 0.074 + 0.004*sl a to co t r 0.038 0.020 + 0.009*sl 0.017 + 0.010*sl 0.012 + 0.010*sl t f 0.030 0.016 + 0.007*sl 0.015 + 0.007*sl 0.013 + 0.007*sl t plh 0.054 0.044 + 0.005*sl 0.047 + 0.004*sl 0.048 + 0.004*sl t phl 0.054 0.045 + 0.005*sl 0.047 + 0.004*sl 0.048 + 0.004*sl b to co t r 0.038 0.020 + 0.009*sl 0.019 + 0.009*sl 0.013 + 0.010*sl t f 0.031 0.017 + 0.007*sl 0.015 + 0.007*sl 0.013 + 0.007*sl t plh 0.055 0.045 + 0.005*sl 0.047 + 0.004*sl 0.048 + 0.004*sl t phl 0.052 0.043 + 0.005*sl 0.046 + 0.004*sl 0.047 + 0.004*sl *group1 : sl < 4, *group2 : 4 sl < < = = 15, *group3 : 15 < sl path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* a to s t r 0.037 0.027 + 0.005*sl 0.029 + 0.004*sl 0.020 + 0.005*sl t f 0.044 0.035 + 0.005*sl 0.039 + 0.003*sl 0.037 + 0.004*sl t plh 0.088 0.082 + 0.003*sl 0.085 + 0.002*sl 0.090 + 0.002*sl t phl 0.092 0.084 + 0.004*sl 0.089 + 0.002*sl 0.102 + 0.002*sl b to s t r 0.037 0.026 + 0.005*sl 0.030 + 0.004*sl 0.020 + 0.005*sl t f 0.038 0.029 + 0.005*sl 0.033 + 0.004*sl 0.033 + 0.004*sl t plh 0.074 0.068 + 0.003*sl 0.071 + 0.002*sl 0.077 + 0.002*sl t phl 0.073 0.066 + 0.004*sl 0.071 + 0.002*sl 0.084 + 0.002*sl a to co t r 0.031 0.022 + 0.005*sl 0.021 + 0.005*sl 0.016 + 0.005*sl t f 0.025 0.019 + 0.003*sl 0.017 + 0.004*sl 0.016 + 0.004*sl t plh 0.057 0.051 + 0.003*sl 0.054 + 0.002*sl 0.058 + 0.002*sl t phl 0.056 0.050 + 0.003*sl 0.053 + 0.002*sl 0.057 + 0.002*sl b to co t r 0.031 0.021 + 0.005*sl 0.022 + 0.005*sl 0.016 + 0.005*sl t f 0.026 0.018 + 0.004*sl 0.019 + 0.004*sl 0.015 + 0.004*sl t plh 0.058 0.052 + 0.003*sl 0.055 + 0.002*sl 0.058 + 0.002*sl t phl 0.054 0.049 + 0.003*sl 0.052 + 0.002*sl 0.056 + 0.002*sl *group1 : sl < 4, *group2 : 4 sl < < = = 26, *group3 : 26 < sl
stdh150 3-374 samsung asic ha/had2/had4 half adder with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) had4 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* a to s t r 0.044 0.038 + 0.003*sl 0.041 + 0.002*sl 0.032 + 0.002*sl t f 0.054 0.050 + 0.002*sl 0.050 + 0.002*sl 0.053 + 0.002*sl t plh 0.101 0.097 + 0.002*sl 0.100 + 0.001*sl 0.111 + 0.001*sl t phl 0.107 0.102 + 0.002*sl 0.106 + 0.001*sl 0.127 + 0.001*sl b to s t r 0.041 0.035 + 0.003*sl 0.037 + 0.002*sl 0.031 + 0.002*sl t f 0.050 0.046 + 0.002*sl 0.047 + 0.002*sl 0.055 + 0.002*sl t plh 0.086 0.082 + 0.002*sl 0.085 + 0.001*sl 0.097 + 0.001*sl t phl 0.090 0.086 + 0.002*sl 0.089 + 0.001*sl 0.110 + 0.001*sl a to co t r 0.033 0.030 + 0.002*sl 0.028 + 0.002*sl 0.022 + 0.002*sl t f 0.029 0.025 + 0.002*sl 0.026 + 0.002*sl 0.025 + 0.002*sl t plh 0.065 0.061 + 0.002*sl 0.063 + 0.001*sl 0.071 + 0.001*sl t phl 0.067 0.063 + 0.002*sl 0.066 + 0.001*sl 0.075 + 0.001*sl b to co t r 0.033 0.028 + 0.002*sl 0.028 + 0.002*sl 0.022 + 0.002*sl t f 0.028 0.024 + 0.002*sl 0.025 + 0.002*sl 0.024 + 0.002*sl t plh 0.065 0.061 + 0.002*sl 0.064 + 0.001*sl 0.071 + 0.001*sl t phl 0.065 0.062 + 0.002*sl 0.064 + 0.001*sl 0.074 + 0.001*sl *group1 : sl < 4, *group2 : 4 sl < < = = 49, *group3 : 49 < sl
samsung asic 3-375 stdh150 multiplexers cell list cell name function description mx2 2 > 1 non-inverting mux with 1x drive mx2d2 2 > 1 non-inverting mux with 2x drive mx2d4 2 > 1 non-inverting mux with 4x drive mx2d8 2 > 1 non-inverting mux with 8x drive mx2i 2 > 1 inverting mux with 1x drive mx2id2 2 > 1 inverting mux with 2x drive mx2id4 2 > 1 inverting mux with 4x drive mx2ia 2 > 1 inverting mux with separate s and sn inputs, 1x drive mx2id2a 2 > 1 inverting mux with separate s and sn inputs, 2x drive mx2id4a 2 > 1 inverting mux with separate s and sn inputs, 4x drive mx4 4 > 1 non-inverting mux with 1x drive mx4d2 4 > 1 non-inverting mux with 2x drive mx4d4 4 > 1 non-inverting mux with 4x drive mx8 8 > 1 non-inverting mux with 1x drive mx8d2 8 > 1 non-inverting mux with 2x drive mx8d4 8 > 1 non-inverting mux with 4x drive
stdh150 3-376 samsung asic mx2/mx2d2/mx2d4/mx2d8 2 > 1 non-inverting mux with 1x/2x/4x/8x drive logic symbol cell data schematic diagram input load (sl) mx2 mx2d2 mx2d4 mx2d8 d0 d1 s d0 d1 s d0 d1 s d0 d1 s 1.0 1.0 1.0 1.0 1.0 1.3 1.0 1.0 1.3 2.0 2.1 1.8 gate count mx2 mx2d2 mx2d4 mx2d8 3.00 3.33 4.33 7.00 d0 d1 y s s sb y sb s s d0 d1 truth table d0 d1 s y 0x00 1x01 x010 x111
samsung asic 3-377 stdh150 mx2/mx2d2/mx2d4/mx2d8 2 > 1 non-inverting mux with 1x/2x/4x/8x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx2 mx2d2 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.044 0.029 + 0.007*sl 0.021 + 0.010*sl 0.017 + 0.010*sl t f 0.043 0.027 + 0.008*sl 0.030 + 0.007*sl 0.026 + 0.007*sl t plh 0.064 0.053 + 0.005*sl 0.057 + 0.004*sl 0.059 + 0.004*sl t phl 0.068 0.056 + 0.006*sl 0.062 + 0.004*sl 0.069 + 0.004*sl d1 to y t r 0.044 0.029 + 0.007*sl 0.020 + 0.010*sl 0.017 + 0.010*sl t f 0.043 0.027 + 0.008*sl 0.031 + 0.007*sl 0.027 + 0.007*sl t plh 0.063 0.053 + 0.005*sl 0.056 + 0.004*sl 0.058 + 0.004*sl t phl 0.069 0.057 + 0.006*sl 0.063 + 0.004*sl 0.071 + 0.004*sl s to y t r 0.044 0.027 + 0.009*sl 0.025 + 0.009*sl 0.016 + 0.010*sl t f 0.043 0.027 + 0.008*sl 0.031 + 0.007*sl 0.025 + 0.007*sl t plh 0.078 0.067 + 0.005*sl 0.071 + 0.004*sl 0.072 + 0.004*sl t phl 0.074 0.063 + 0.006*sl 0.069 + 0.004*sl 0.075 + 0.004*sl *group1 : sl < 4, *group2 : 4 sl < < = = 15, *group3 : 15 < sl path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.038 0.029 + 0.004*sl 0.029 + 0.005*sl 0.021 + 0.005*sl t f 0.040 0.030 + 0.005*sl 0.035 + 0.004*sl 0.034 + 0.004*sl t plh 0.067 0.060 + 0.003*sl 0.064 + 0.002*sl 0.070 + 0.002*sl t phl 0.071 0.063 + 0.004*sl 0.068 + 0.002*sl 0.081 + 0.002*sl d1 to y t r 0.038 0.029 + 0.004*sl 0.028 + 0.005*sl 0.021 + 0.005*sl t f 0.040 0.030 + 0.005*sl 0.035 + 0.004*sl 0.034 + 0.004*sl t plh 0.066 0.059 + 0.003*sl 0.063 + 0.002*sl 0.068 + 0.002*sl t phl 0.071 0.064 + 0.004*sl 0.069 + 0.002*sl 0.081 + 0.002*sl s to y t r 0.039 0.029 + 0.005*sl 0.030 + 0.004*sl 0.021 + 0.005*sl t f 0.037 0.027 + 0.005*sl 0.033 + 0.004*sl 0.033 + 0.004*sl t plh 0.076 0.069 + 0.003*sl 0.073 + 0.002*sl 0.078 + 0.002*sl t phl 0.074 0.067 + 0.004*sl 0.072 + 0.002*sl 0.084 + 0.002*sl *group1 : sl < 4, *group2 : 4 sl < < = = 26, *group3 : 26 < sl
stdh150 3-378 samsung asic mx2/mx2d2/mx2d4/mx2d8 2 > 1 non-inverting mux with 1x/2x/4x/8x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx2d4 mx2d8 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.042 0.037 + 0.003*sl 0.039 + 0.002*sl 0.033 + 0.002*sl t f 0.050 0.046 + 0.002*sl 0.047 + 0.002*sl 0.053 + 0.002*sl t plh 0.082 0.078 + 0.002*sl 0.080 + 0.001*sl 0.092 + 0.001*sl t phl 0.087 0.082 + 0.002*sl 0.086 + 0.001*sl 0.106 + 0.001*sl d1 to y t r 0.042 0.037 + 0.003*sl 0.039 + 0.002*sl 0.032 + 0.002*sl t f 0.051 0.046 + 0.002*sl 0.047 + 0.002*sl 0.053 + 0.002*sl t plh 0.080 0.076 + 0.002*sl 0.079 + 0.001*sl 0.091 + 0.001*sl t phl 0.087 0.083 + 0.002*sl 0.086 + 0.001*sl 0.107 + 0.001*sl s to y t r 0.045 0.039 + 0.003*sl 0.041 + 0.002*sl 0.033 + 0.002*sl t f 0.051 0.047 + 0.002*sl 0.047 + 0.002*sl 0.053 + 0.002*sl t plh 0.088 0.084 + 0.002*sl 0.087 + 0.001*sl 0.099 + 0.001*sl t phl 0.092 0.087 + 0.002*sl 0.091 + 0.001*sl 0.111 + 0.001*sl *group1 : sl < 4, *group2 : 4 sl < < = = 49, *group3 : 49 < sl path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.047 0.045 + 0.001*sl 0.045 + 0.001*sl 0.038 + 0.001*sl t f 0.054 0.053 + 0.001*sl 0.052 + 0.001*sl 0.059 + 0.001*sl t plh 0.083 0.081 + 0.001*sl 0.082 + 0.001*sl 0.098 + 0.001*sl t phl 0.091 0.089 + 0.001*sl 0.091 + 0.001*sl 0.114 + 0.001*sl d1 to y t r 0.045 0.041 + 0.002*sl 0.044 + 0.001*sl 0.037 + 0.001*sl t f 0.054 0.053 + 0.001*sl 0.052 + 0.001*sl 0.059 + 0.001*sl t plh 0.081 0.079 + 0.001*sl 0.081 + 0.001*sl 0.096 + 0.001*sl t phl 0.092 0.089 + 0.001*sl 0.091 + 0.001*sl 0.114 + 0.001*sl s to y t r 0.045 0.044 + 0.001*sl 0.043 + 0.001*sl 0.038 + 0.001*sl t f 0.055 0.053 + 0.001*sl 0.053 + 0.001*sl 0.058 + 0.001*sl t plh 0.087 0.085 + 0.001*sl 0.086 + 0.001*sl 0.102 + 0.001*sl t phl 0.092 0.089 + 0.001*sl 0.091 + 0.001*sl 0.115 + 0.001*sl *group1 : sl < 4, *group2 : 4 sl < < = = 95, *group3 : 95 < sl
samsung asic 3-379 stdh150 mx2i/mx2id2/mx2id4 2 > 1 inverting mux with 1x/2x/4x drive logic symbol cell data schematic diagram switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx2i input load (sl) mx2i mx2id2 mx2id4 d0d1sd0d1sd0d1s 1.1 1.1 1.7 2.1 2.1 2.7 1.1 1.1 1.7 gate count mx2i mx2id2 mx2id4 2.67 4.33 4.67 d0 d1 yn s yn d0 s d1 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to yn t r 0.093 0.056 + 0.018*sl 0.053 + 0.019*sl 0.046 + 0.020*sl t f 0.061 0.040 + 0.010*sl 0.038 + 0.011*sl 0.029 + 0.011*sl t plh 0.053 0.036 + 0.009*sl 0.035 + 0.009*sl 0.035 + 0.009*sl t phl 0.039 0.026 + 0.006*sl 0.029 + 0.006*sl 0.029 + 0.006*sl d1 to yn t r 0.089 0.051 + 0.019*sl 0.050 + 0.019*sl 0.047 + 0.020*sl t f 0.076 0.056 + 0.010*sl 0.054 + 0.011*sl 0.045 + 0.011*sl t plh 0.070 0.052 + 0.009*sl 0.053 + 0.009*sl 0.053 + 0.009*sl t phl 0.051 0.038 + 0.006*sl 0.040 + 0.006*sl 0.041 + 0.006*sl s to yn t r 0.088 0.051 + 0.019*sl 0.047 + 0.020*sl 0.046 + 0.020*sl t f 0.067 0.045 + 0.011*sl 0.044 + 0.011*sl 0.040 + 0.011*sl t plh 0.065 0.047 + 0.009*sl 0.048 + 0.009*sl 0.048 + 0.009*sl t phl 0.071 0.059 + 0.006*sl 0.060 + 0.006*sl 0.061 + 0.006*sl *group1 : sl < 4, *group2 : 4 sl < < = = 15, *group3 : 15 < sl truth table d0 d1 s yn 0x01 1x00 x011 x110
stdh150 3-380 samsung asic mx2i/mx2id2/mx2id4 2 > 1 inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx2id2 mx2id4 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to yn t r 0.075 0.058 + 0.009*sl 0.055 + 0.009*sl 0.045 + 0.010*sl t f 0.051 0.042 + 0.005*sl 0.040 + 0.005*sl 0.031 + 0.006*sl t plh 0.044 0.035 + 0.004*sl 0.035 + 0.004*sl 0.035 + 0.004*sl t phl 0.032 0.024 + 0.004*sl 0.028 + 0.003*sl 0.029 + 0.003*sl d1 to yn t r 0.071 0.051 + 0.010*sl 0.051 + 0.010*sl 0.048 + 0.010*sl t f 0.066 0.057 + 0.005*sl 0.055 + 0.005*sl 0.045 + 0.006*sl t plh 0.061 0.052 + 0.005*sl 0.052 + 0.004*sl 0.053 + 0.004*sl t phl 0.044 0.038 + 0.003*sl 0.039 + 0.003*sl 0.040 + 0.003*sl s to yn t r 0.069 0.050 + 0.009*sl 0.048 + 0.010*sl 0.047 + 0.010*sl t f 0.053 0.044 + 0.005*sl 0.041 + 0.006*sl 0.036 + 0.006*sl t plh 0.067 0.058 + 0.004*sl 0.058 + 0.004*sl 0.058 + 0.004*sl t phl 0.082 0.075 + 0.004*sl 0.078 + 0.003*sl 0.081 + 0.003*sl *group1 : sl < 4, *group2 : 4 sl < < = = 26, *group3 : 26 < sl path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to yn t r 0.031 0.025 + 0.003*sl 0.028 + 0.002*sl 0.018 + 0.002*sl t f 0.026 0.022 + 0.002*sl 0.023 + 0.002*sl 0.021 + 0.002*sl t plh 0.101 0.098 + 0.002*sl 0.100 + 0.001*sl 0.105 + 0.001*sl t phl 0.086 0.083 + 0.002*sl 0.085 + 0.001*sl 0.093 + 0.001*sl d1 to yn t r 0.031 0.025 + 0.003*sl 0.028 + 0.002*sl 0.018 + 0.002*sl t f 0.028 0.023 + 0.002*sl 0.025 + 0.002*sl 0.021 + 0.002*sl t plh 0.118 0.115 + 0.002*sl 0.117 + 0.001*sl 0.122 + 0.001*sl t phl 0.100 0.096 + 0.002*sl 0.099 + 0.001*sl 0.107 + 0.001*sl s to yn t r 0.031 0.025 + 0.003*sl 0.027 + 0.002*sl 0.017 + 0.002*sl t f 0.027 0.023 + 0.002*sl 0.025 + 0.002*sl 0.021 + 0.002*sl t plh 0.113 0.110 + 0.002*sl 0.112 + 0.001*sl 0.116 + 0.001*sl t phl 0.118 0.114 + 0.002*sl 0.117 + 0.001*sl 0.124 + 0.001*sl *group1 : sl < 4, *group2 : 4 sl < < = = 49, *group3 : 49 < sl
samsung asic 3-381 stdh150 mx2ia/mx2id2a/mx2id4a 2 > 1 inverting mux with separate s and sn inputs, 1x/2x/4x drive logic symbol cell data schematic diagram input load (sl) mx2ia mx2id2a mx2id4a d0 d1 s sn d0 d1 s sn d0 d1 s sn 1.1 1.1 1.1 1.1 2.1 2.1 2.3 2.2 1.1 1.1 1.1 1.1 gate count mx2ia mx2id2a mx2id4a 2.00 3.67 4.33 yn d0 d1 s sn yn d0 sn d1 s truth table d0 d1 s sn yn 0 x 011 1 x 010 x 0 101 x 1 100
stdh150 3-382 samsung asic mx2ia/mx2id2a/mx2id4a 2 > 1 inverting mux with separate s and sn inputs, 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx2ia mx2id2a path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to yn t r 0.092 0.056 + 0.018*sl 0.052 + 0.019*sl 0.045 + 0.020*sl t f 0.059 0.039 + 0.010*sl 0.035 + 0.011*sl 0.028 + 0.011*sl t plh 0.052 0.035 + 0.009*sl 0.035 + 0.009*sl 0.035 + 0.009*sl t phl 0.038 0.025 + 0.006*sl 0.028 + 0.006*sl 0.029 + 0.006*sl d1 to yn t r 0.088 0.051 + 0.019*sl 0.049 + 0.019*sl 0.045 + 0.020*sl t f 0.071 0.049 + 0.011*sl 0.049 + 0.011*sl 0.041 + 0.011*sl t plh 0.069 0.051 + 0.009*sl 0.052 + 0.009*sl 0.052 + 0.009*sl t phl 0.051 0.038 + 0.006*sl 0.039 + 0.006*sl 0.041 + 0.006*sl s to yn t r 0.094 0.057 + 0.019*sl 0.054 + 0.019*sl 0.052 + 0.020*sl t f 0.069 0.048 + 0.010*sl 0.046 + 0.011*sl 0.040 + 0.011*sl t plh 0.073 0.055 + 0.009*sl 0.056 + 0.009*sl 0.056 + 0.009*sl t phl 0.051 0.039 + 0.006*sl 0.040 + 0.006*sl 0.042 + 0.006*sl sn to yn t r 0.098 0.062 + 0.018*sl 0.057 + 0.019*sl 0.051 + 0.020*sl t f 0.057 0.034 + 0.011*sl 0.036 + 0.011*sl 0.026 + 0.011*sl t plh 0.056 0.039 + 0.009*sl 0.039 + 0.009*sl 0.039 + 0.009*sl t phl 0.039 0.026 + 0.007*sl 0.029 + 0.006*sl 0.030 + 0.006*sl *group1 : sl < 4, *group2 : 4 sl < < = = 15, *group3 : 15 < sl path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to yn t r 0.075 0.057 + 0.009*sl 0.055 + 0.009*sl 0.045 + 0.010*sl t f 0.051 0.041 + 0.005*sl 0.040 + 0.005*sl 0.029 + 0.006*sl t plh 0.044 0.035 + 0.004*sl 0.036 + 0.004*sl 0.035 + 0.004*sl t phl 0.032 0.024 + 0.004*sl 0.028 + 0.003*sl 0.029 + 0.003*sl d1 to yn t r 0.069 0.051 + 0.009*sl 0.049 + 0.010*sl 0.046 + 0.010*sl t f 0.060 0.049 + 0.005*sl 0.049 + 0.005*sl 0.041 + 0.006*sl t plh 0.059 0.050 + 0.005*sl 0.051 + 0.004*sl 0.052 + 0.004*sl t phl 0.044 0.038 + 0.003*sl 0.039 + 0.003*sl 0.040 + 0.003*sl s to yn t r 0.076 0.058 + 0.009*sl 0.056 + 0.010*sl 0.052 + 0.010*sl t f 0.056 0.045 + 0.006*sl 0.046 + 0.005*sl 0.040 + 0.006*sl t plh 0.063 0.055 + 0.004*sl 0.055 + 0.004*sl 0.055 + 0.004*sl t phl 0.045 0.038 + 0.003*sl 0.039 + 0.003*sl 0.042 + 0.003*sl sn to yn t r 0.080 0.063 + 0.009*sl 0.059 + 0.010*sl 0.051 + 0.010*sl t f 0.046 0.036 + 0.005*sl 0.035 + 0.005*sl 0.027 + 0.006*sl t plh 0.048 0.039 + 0.004*sl 0.039 + 0.004*sl 0.039 + 0.004*sl t phl 0.033 0.025 + 0.004*sl 0.028 + 0.003*sl 0.030 + 0.003*sl *group1 : sl < 4, *group2 : 4 sl < < = = 26, *group3 : 26 < sl
samsung asic 3-383 stdh150 mx2ia/mx2id2a/mx2id4a 2 > 1 inverting mux with separate s and sn inputs, 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx2id4a path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to yn t r 0.031 0.026 + 0.003*sl 0.028 + 0.002*sl 0.017 + 0.002*sl t f 0.026 0.022 + 0.002*sl 0.023 + 0.002*sl 0.021 + 0.002*sl t plh 0.101 0.097 + 0.002*sl 0.099 + 0.001*sl 0.104 + 0.001*sl t phl 0.086 0.082 + 0.002*sl 0.085 + 0.001*sl 0.093 + 0.001*sl d1 to yn t r 0.031 0.026 + 0.003*sl 0.028 + 0.002*sl 0.018 + 0.002*sl t f 0.027 0.023 + 0.002*sl 0.023 + 0.002*sl 0.021 + 0.002*sl t plh 0.117 0.114 + 0.002*sl 0.116 + 0.001*sl 0.120 + 0.001*sl t phl 0.099 0.096 + 0.002*sl 0.098 + 0.001*sl 0.106 + 0.001*sl s to yn t r 0.031 0.025 + 0.003*sl 0.027 + 0.002*sl 0.018 + 0.002*sl t f 0.028 0.023 + 0.002*sl 0.026 + 0.002*sl 0.021 + 0.002*sl t plh 0.122 0.119 + 0.002*sl 0.121 + 0.001*sl 0.125 + 0.001*sl t phl 0.100 0.096 + 0.002*sl 0.099 + 0.001*sl 0.107 + 0.001*sl sn to yn t r 0.031 0.026 + 0.002*sl 0.026 + 0.002*sl 0.016 + 0.002*sl t f 0.027 0.022 + 0.002*sl 0.024 + 0.002*sl 0.021 + 0.002*sl t plh 0.105 0.102 + 0.002*sl 0.104 + 0.001*sl 0.109 + 0.001*sl t phl 0.086 0.083 + 0.002*sl 0.085 + 0.001*sl 0.093 + 0.001*sl *group1 : sl < 4, *group2 : 4 sl < < = = 49, *group3 : 49 < sl
stdh150 3-384 samsung asic mx4/mx4d2/mx4d4 4 > 1 non-inverting mux with 1x/2x/4x drive logic symbol cell data schematic diagram input load (sl) mx4 mx4d2 mx4d4 d0 d1 d2 d3 s0 s1 d0 d1 d2 d3 s0 s1 d0 d1 d2 d3 s0 s1 1.0 1.0 1.0 1.0 2.3 1.2 1.0 1.0 1.0 1.0 2.3 1.2 1.0 1.0 1.0 1.0 2.3 1.2 gate count mx4 mx4 d2 mx4 d4 6.33 6.67 7.67 y d0 d1 d2 d3 s0 s1 s0b s0 s0 s1b s1 s1 d0 d1 d2 d3 y s0 s0 s0b s0 s0b s1 s1b s1b s1 truth table s0 s1 y 00d0 10d1 01d2 11d3
samsung asic 3-385 stdh150 mx4/mx4d2/mx4d4 4 > 1 non-inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx4 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.049 0.031 + 0.009*sl 0.030 + 0.009*sl 0.024 + 0.010*sl t f 0.057 0.042 + 0.008*sl 0.045 + 0.007*sl 0.045 + 0.007*sl t plh 0.091 0.079 + 0.006*sl 0.084 + 0.005*sl 0.090 + 0.004*sl t phl 0.098 0.084 + 0.007*sl 0.092 + 0.005*sl 0.106 + 0.004*sl d1 to y t r 0.049 0.029 + 0.010*sl 0.032 + 0.009*sl 0.024 + 0.010*sl t f 0.057 0.042 + 0.007*sl 0.044 + 0.007*sl 0.045 + 0.007*sl t plh 0.090 0.078 + 0.006*sl 0.083 + 0.005*sl 0.088 + 0.004*sl t phl 0.099 0.084 + 0.007*sl 0.093 + 0.005*sl 0.107 + 0.004*sl d2 to y t r 0.049 0.030 + 0.009*sl 0.030 + 0.009*sl 0.023 + 0.010*sl t f 0.057 0.042 + 0.008*sl 0.043 + 0.007*sl 0.044 + 0.007*sl t plh 0.089 0.077 + 0.006*sl 0.083 + 0.005*sl 0.088 + 0.004*sl t phl 0.098 0.084 + 0.007*sl 0.092 + 0.005*sl 0.106 + 0.004*sl d3 to y t r 0.049 0.030 + 0.010*sl 0.031 + 0.009*sl 0.023 + 0.010*sl t f 0.057 0.042 + 0.007*sl 0.044 + 0.007*sl 0.044 + 0.007*sl t plh 0.088 0.076 + 0.006*sl 0.082 + 0.005*sl 0.087 + 0.004*sl t phl 0.098 0.084 + 0.007*sl 0.093 + 0.005*sl 0.107 + 0.004*sl s0 to y t r 0.050 0.030 + 0.010*sl 0.033 + 0.009*sl 0.024 + 0.010*sl t f 0.057 0.040 + 0.009*sl 0.047 + 0.007*sl 0.044 + 0.007*sl t plh 0.097 0.085 + 0.006*sl 0.091 + 0.005*sl 0.095 + 0.004*sl t phl 0.105 0.091 + 0.007*sl 0.099 + 0.005*sl 0.113 + 0.004*sl s1 to y t r 0.048 0.029 + 0.009*sl 0.029 + 0.009*sl 0.023 + 0.010*sl t f 0.052 0.034 + 0.009*sl 0.041 + 0.007*sl 0.041 + 0.007*sl t plh 0.074 0.062 + 0.006*sl 0.068 + 0.005*sl 0.073 + 0.004*sl t phl 0.083 0.069 + 0.007*sl 0.077 + 0.005*sl 0.090 + 0.004*sl *group1 : sl < 4, *group2 : 4 sl < < = = 15, *group3 : 15 < sl
stdh150 3-386 samsung asic mx4/mx4d2/mx4d4 4 > 1 non-inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx4d2 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.046 0.036 + 0.005*sl 0.037 + 0.005*sl 0.032 + 0.005*sl t f 0.060 0.050 + 0.005*sl 0.055 + 0.004*sl 0.058 + 0.004*sl t plh 0.096 0.089 + 0.004*sl 0.093 + 0.003*sl 0.104 + 0.002*sl t phl 0.105 0.095 + 0.005*sl 0.102 + 0.003*sl 0.123 + 0.002*sl d1 to y t r 0.045 0.036 + 0.005*sl 0.037 + 0.005*sl 0.032 + 0.005*sl t f 0.060 0.050 + 0.005*sl 0.055 + 0.004*sl 0.059 + 0.003*sl t plh 0.095 0.088 + 0.004*sl 0.092 + 0.003*sl 0.103 + 0.002*sl t phl 0.105 0.096 + 0.005*sl 0.103 + 0.003*sl 0.124 + 0.002*sl d2 to y t r 0.045 0.035 + 0.005*sl 0.038 + 0.005*sl 0.032 + 0.005*sl t f 0.060 0.050 + 0.005*sl 0.055 + 0.004*sl 0.058 + 0.004*sl t plh 0.095 0.087 + 0.004*sl 0.092 + 0.003*sl 0.103 + 0.002*sl t phl 0.105 0.096 + 0.005*sl 0.102 + 0.003*sl 0.124 + 0.002*sl d3 to y t r 0.045 0.034 + 0.006*sl 0.038 + 0.005*sl 0.032 + 0.005*sl t f 0.060 0.050 + 0.005*sl 0.055 + 0.004*sl 0.058 + 0.003*sl t plh 0.094 0.086 + 0.004*sl 0.091 + 0.003*sl 0.102 + 0.002*sl t phl 0.105 0.096 + 0.005*sl 0.103 + 0.003*sl 0.124 + 0.002*sl s0 to y t r 0.046 0.035 + 0.005*sl 0.037 + 0.005*sl 0.032 + 0.005*sl t f 0.060 0.050 + 0.005*sl 0.055 + 0.004*sl 0.058 + 0.004*sl t plh 0.102 0.095 + 0.004*sl 0.099 + 0.003*sl 0.110 + 0.002*sl t phl 0.112 0.102 + 0.005*sl 0.109 + 0.003*sl 0.130 + 0.002*sl s1 to y t r 0.044 0.034 + 0.005*sl 0.035 + 0.005*sl 0.031 + 0.005*sl t f 0.057 0.048 + 0.004*sl 0.050 + 0.004*sl 0.057 + 0.004*sl t plh 0.078 0.071 + 0.004*sl 0.076 + 0.003*sl 0.086 + 0.002*sl t phl 0.090 0.080 + 0.005*sl 0.087 + 0.003*sl 0.108 + 0.002*sl *group1 : sl < 4, *group2 : 4 sl < < = = 26, *group3 : 26 < sl
samsung asic 3-387 stdh150 mx4/mx4d2/mx4d4 4 > 1 non-inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx4d4 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.056 0.051 + 0.003*sl 0.053 + 0.002*sl 0.050 + 0.002*sl t f 0.082 0.078 + 0.002*sl 0.079 + 0.002*sl 0.086 + 0.002*sl t plh 0.117 0.113 + 0.002*sl 0.115 + 0.001*sl 0.133 + 0.001*sl t phl 0.132 0.126 + 0.003*sl 0.130 + 0.002*sl 0.159 + 0.001*sl d1 to y t r 0.056 0.050 + 0.003*sl 0.053 + 0.002*sl 0.049 + 0.002*sl t f 0.082 0.077 + 0.002*sl 0.079 + 0.002*sl 0.086 + 0.002*sl t plh 0.116 0.112 + 0.002*sl 0.114 + 0.001*sl 0.132 + 0.001*sl t phl 0.132 0.127 + 0.003*sl 0.131 + 0.002*sl 0.159 + 0.001*sl d2 to y t r 0.056 0.051 + 0.002*sl 0.052 + 0.002*sl 0.050 + 0.002*sl t f 0.082 0.077 + 0.002*sl 0.078 + 0.002*sl 0.086 + 0.002*sl t plh 0.115 0.111 + 0.002*sl 0.114 + 0.001*sl 0.132 + 0.001*sl t phl 0.132 0.126 + 0.003*sl 0.130 + 0.002*sl 0.159 + 0.001*sl d3 to y t r 0.056 0.051 + 0.002*sl 0.052 + 0.002*sl 0.050 + 0.002*sl t f 0.082 0.077 + 0.002*sl 0.079 + 0.002*sl 0.086 + 0.002*sl t plh 0.114 0.110 + 0.002*sl 0.113 + 0.001*sl 0.130 + 0.001*sl t phl 0.132 0.126 + 0.003*sl 0.131 + 0.002*sl 0.159 + 0.001*sl s0 to y t r 0.058 0.054 + 0.002*sl 0.052 + 0.002*sl 0.050 + 0.002*sl t f 0.081 0.076 + 0.002*sl 0.077 + 0.002*sl 0.087 + 0.002*sl t plh 0.122 0.118 + 0.002*sl 0.121 + 0.001*sl 0.138 + 0.001*sl t phl 0.137 0.132 + 0.003*sl 0.136 + 0.002*sl 0.164 + 0.001*sl s1 to y t r 0.056 0.051 + 0.002*sl 0.051 + 0.002*sl 0.050 + 0.002*sl t f 0.082 0.078 + 0.002*sl 0.077 + 0.002*sl 0.086 + 0.002*sl t plh 0.097 0.092 + 0.002*sl 0.095 + 0.001*sl 0.113 + 0.001*sl t phl 0.117 0.112 + 0.003*sl 0.116 + 0.002*sl 0.144 + 0.001*sl *group1 : sl < 4, *group2 : 4 sl < < = = 49, *group3 : 49 < sl
stdh150 3-388 samsung asic mx8/mx8d2/mx8d4 8 > 1 non-inverting mux with 1x/2x/4x drive logic symbol cell data input load (sl) gate count mx8 mx8 d0 d1 d2 d3 d4 d5 d6 d7 s0 s1 s2 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 2.1 1.2 12.00 mx8d2 mx8d2 d0 d1 d2 d3 d4 d5 d6 d7 s0 s1 s2 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 2.1 1.2 12.33 mx8d4 mx8d4 d0 d1 d2 d3 d4 d5 d6 d7 s0 s1 s2 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 1.0 2.1 1.2 13.33 y d0 d1 d2 d3 d4 d5 d6 d7 s0 s1 s2 truth table s0 s1 s2 y 000d0 100d1 010d2 110d3 001d4 101d5 011d6 111d7
samsung asic 3-389 stdh150 mx8/mx8d2/mx8d4 8 > 1 non-inverting mux with 1x/2x/4x drive schematic diagram d0 d1 d2 d3 s0 s0b s0 s0b s0 s0 s0b s1b s1 s1 s0 s1b s1 s1 s1b s2b s2 y d4 d5 d6 d7 s0 s0b s0 s0b s1b s1 s1 s1b s2 s2b s2b s2 s2
stdh150 3-390 samsung asic mx8/mx8d2/mx8d4 8 > 1 non-inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx8 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.060 0.040 + 0.010*sl 0.044 + 0.009*sl 0.037 + 0.009*sl t f 0.076 0.059 + 0.008*sl 0.064 + 0.007*sl 0.067 + 0.007*sl t plh 0.134 0.120 + 0.007*sl 0.127 + 0.005*sl 0.137 + 0.004*sl t phl 0.153 0.136 + 0.008*sl 0.147 + 0.006*sl 0.167 + 0.004*sl d1 to y t r 0.060 0.040 + 0.010*sl 0.044 + 0.009*sl 0.037 + 0.009*sl t f 0.076 0.058 + 0.009*sl 0.064 + 0.007*sl 0.067 + 0.007*sl t plh 0.134 0.120 + 0.007*sl 0.127 + 0.005*sl 0.138 + 0.004*sl t phl 0.153 0.136 + 0.008*sl 0.147 + 0.006*sl 0.167 + 0.004*sl d2 to y t r 0.060 0.040 + 0.010*sl 0.042 + 0.009*sl 0.037 + 0.010*sl t f 0.076 0.059 + 0.008*sl 0.064 + 0.007*sl 0.067 + 0.007*sl t plh 0.133 0.119 + 0.007*sl 0.126 + 0.005*sl 0.136 + 0.004*sl t phl 0.152 0.136 + 0.008*sl 0.146 + 0.006*sl 0.166 + 0.004*sl d3 to y t r 0.060 0.040 + 0.010*sl 0.043 + 0.009*sl 0.037 + 0.009*sl t f 0.075 0.058 + 0.009*sl 0.064 + 0.007*sl 0.067 + 0.007*sl t plh 0.133 0.119 + 0.007*sl 0.126 + 0.005*sl 0.136 + 0.004*sl t phl 0.153 0.136 + 0.008*sl 0.146 + 0.006*sl 0.167 + 0.004*sl d4 to y t r 0.061 0.043 + 0.009*sl 0.041 + 0.009*sl 0.037 + 0.010*sl t f 0.076 0.059 + 0.008*sl 0.064 + 0.007*sl 0.067 + 0.007*sl t plh 0.132 0.118 + 0.007*sl 0.125 + 0.005*sl 0.135 + 0.004*sl t phl 0.152 0.135 + 0.008*sl 0.146 + 0.006*sl 0.166 + 0.004*sl d5 to y t r 0.061 0.043 + 0.009*sl 0.041 + 0.009*sl 0.037 + 0.010*sl t f 0.076 0.059 + 0.008*sl 0.064 + 0.007*sl 0.067 + 0.007*sl t plh 0.132 0.118 + 0.007*sl 0.126 + 0.005*sl 0.135 + 0.004*sl t phl 0.152 0.135 + 0.008*sl 0.146 + 0.006*sl 0.166 + 0.004*sl d6 to y t r 0.059 0.040 + 0.009*sl 0.040 + 0.009*sl 0.034 + 0.010*sl t f 0.075 0.059 + 0.008*sl 0.063 + 0.007*sl 0.065 + 0.007*sl t plh 0.129 0.115 + 0.007*sl 0.123 + 0.005*sl 0.133 + 0.004*sl t phl 0.150 0.133 + 0.008*sl 0.143 + 0.006*sl 0.163 + 0.004*sl d7 to y t r 0.059 0.040 + 0.009*sl 0.040 + 0.009*sl 0.034 + 0.010*sl t f 0.075 0.059 + 0.008*sl 0.063 + 0.007*sl 0.065 + 0.007*sl t plh 0.129 0.115 + 0.007*sl 0.123 + 0.005*sl 0.133 + 0.004*sl t phl 0.150 0.133 + 0.008*sl 0.143 + 0.006*sl 0.163 + 0.004*sl s0 to y t r 0.061 0.041 + 0.010*sl 0.044 + 0.009*sl 0.038 + 0.009*sl t f 0.075 0.059 + 0.008*sl 0.063 + 0.007*sl 0.067 + 0.007*sl t plh 0.197 0.183 + 0.007*sl 0.190 + 0.005*sl 0.200 + 0.004*sl t phl 0.215 0.198 + 0.008*sl 0.208 + 0.006*sl 0.229 + 0.004*sl s1 to y t r 0.058 0.037 + 0.010*sl 0.042 + 0.009*sl 0.036 + 0.010*sl t f 0.072 0.056 + 0.008*sl 0.059 + 0.007*sl 0.063 + 0.007*sl t plh 0.106 0.092 + 0.007*sl 0.099 + 0.005*sl 0.109 + 0.004*sl t phl 0.118 0.102 + 0.008*sl 0.112 + 0.006*sl 0.132 + 0.004*sl
samsung asic 3-391 stdh150 mx8/mx8d2/mx8d4 8 > 1 non-inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx8 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* s2 to y t r 0.053 0.034 + 0.010*sl 0.034 + 0.009*sl 0.031 + 0.010*sl t f 0.060 0.040 + 0.010*sl 0.048 + 0.008*sl 0.056 + 0.007*sl t plh 0.078 0.065 + 0.007*sl 0.071 + 0.005*sl 0.080 + 0.004*sl t phl 0.087 0.071 + 0.008*sl 0.081 + 0.005*sl 0.099 + 0.004*sl *group1 : sl < 4, *group2 : 4 sl < < = = 15, *group3 : 15 < sl
stdh150 3-392 samsung asic mx8/mx8d2/mx8d4 8 > 1 non-inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx8d2 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.057 0.046 + 0.006*sl 0.050 + 0.005*sl 0.045 + 0.005*sl t f 0.080 0.072 + 0.004*sl 0.074 + 0.004*sl 0.082 + 0.003*sl t plh 0.142 0.133 + 0.004*sl 0.139 + 0.003*sl 0.155 + 0.002*sl t phl 0.164 0.153 + 0.005*sl 0.160 + 0.003*sl 0.187 + 0.002*sl d1 to y t r 0.057 0.047 + 0.005*sl 0.049 + 0.005*sl 0.046 + 0.005*sl t f 0.081 0.073 + 0.004*sl 0.073 + 0.004*sl 0.082 + 0.003*sl t plh 0.142 0.134 + 0.004*sl 0.139 + 0.003*sl 0.155 + 0.002*sl t phl 0.164 0.153 + 0.005*sl 0.161 + 0.003*sl 0.188 + 0.002*sl d2 to y t r 0.056 0.045 + 0.006*sl 0.050 + 0.005*sl 0.046 + 0.005*sl t f 0.080 0.072 + 0.004*sl 0.074 + 0.004*sl 0.081 + 0.003*sl t plh 0.141 0.132 + 0.004*sl 0.137 + 0.003*sl 0.153 + 0.002*sl t phl 0.163 0.153 + 0.005*sl 0.160 + 0.003*sl 0.187 + 0.002*sl d3 to y t r 0.057 0.045 + 0.006*sl 0.050 + 0.005*sl 0.046 + 0.005*sl t f 0.080 0.072 + 0.004*sl 0.073 + 0.004*sl 0.082 + 0.003*sl t plh 0.141 0.133 + 0.004*sl 0.138 + 0.003*sl 0.154 + 0.002*sl t phl 0.163 0.153 + 0.005*sl 0.160 + 0.003*sl 0.187 + 0.002*sl d4 to y t r 0.055 0.045 + 0.005*sl 0.047 + 0.005*sl 0.047 + 0.005*sl t f 0.080 0.071 + 0.005*sl 0.074 + 0.004*sl 0.081 + 0.004*sl t plh 0.140 0.131 + 0.004*sl 0.137 + 0.003*sl 0.153 + 0.002*sl t phl 0.163 0.152 + 0.005*sl 0.160 + 0.003*sl 0.186 + 0.002*sl d5 to y t r 0.055 0.045 + 0.005*sl 0.047 + 0.005*sl 0.047 + 0.005*sl t f 0.080 0.071 + 0.004*sl 0.074 + 0.004*sl 0.081 + 0.004*sl t plh 0.140 0.132 + 0.004*sl 0.137 + 0.003*sl 0.153 + 0.002*sl t phl 0.163 0.152 + 0.005*sl 0.160 + 0.003*sl 0.186 + 0.002*sl d6 to y t r 0.056 0.045 + 0.005*sl 0.048 + 0.005*sl 0.046 + 0.005*sl t f 0.078 0.068 + 0.005*sl 0.074 + 0.004*sl 0.081 + 0.003*sl t plh 0.137 0.129 + 0.004*sl 0.134 + 0.003*sl 0.150 + 0.002*sl t phl 0.160 0.150 + 0.005*sl 0.157 + 0.003*sl 0.184 + 0.002*sl d7 to y t r 0.056 0.045 + 0.005*sl 0.048 + 0.005*sl 0.046 + 0.005*sl t f 0.078 0.068 + 0.005*sl 0.074 + 0.004*sl 0.081 + 0.003*sl t plh 0.137 0.129 + 0.004*sl 0.134 + 0.003*sl 0.150 + 0.002*sl t phl 0.160 0.150 + 0.005*sl 0.157 + 0.003*sl 0.184 + 0.002*sl s0 to y t r 0.058 0.048 + 0.005*sl 0.050 + 0.005*sl 0.047 + 0.005*sl t f 0.081 0.073 + 0.004*sl 0.074 + 0.004*sl 0.083 + 0.003*sl t plh 0.204 0.196 + 0.004*sl 0.201 + 0.003*sl 0.217 + 0.002*sl t phl 0.225 0.215 + 0.005*sl 0.222 + 0.003*sl 0.249 + 0.002*sl s1 to y t r 0.056 0.046 + 0.005*sl 0.048 + 0.005*sl 0.045 + 0.005*sl t f 0.077 0.068 + 0.005*sl 0.071 + 0.004*sl 0.080 + 0.003*sl t plh 0.113 0.104 + 0.004*sl 0.110 + 0.003*sl 0.126 + 0.002*sl t phl 0.128 0.118 + 0.005*sl 0.125 + 0.003*sl 0.152 + 0.002*sl
samsung asic 3-393 stdh150 mx8/mx8d2/mx8d4 8 > 1 non-inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx8d2 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* s2 to y t r 0.052 0.041 + 0.005*sl 0.043 + 0.005*sl 0.042 + 0.005*sl t f 0.068 0.058 + 0.005*sl 0.062 + 0.004*sl 0.074 + 0.004*sl t plh 0.084 0.076 + 0.004*sl 0.081 + 0.003*sl 0.096 + 0.002*sl t phl 0.096 0.086 + 0.005*sl 0.093 + 0.003*sl 0.120 + 0.002*sl *group1 : sl < 4, *group2 : 4 sl < < = = 26, *group3 : 26 < sl
stdh150 3-394 samsung asic mx8/mx8d2/mx8d4 8 > 1 non-inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx8d4 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* d0 to y t r 0.071 0.067 + 0.002*sl 0.066 + 0.002*sl 0.068 + 0.002*sl t f 0.107 0.102 + 0.002*sl 0.103 + 0.002*sl 0.113 + 0.002*sl t plh 0.169 0.164 + 0.002*sl 0.168 + 0.002*sl 0.189 + 0.001*sl t phl 0.200 0.194 + 0.003*sl 0.198 + 0.002*sl 0.230 + 0.001*sl d1 to y t r 0.071 0.067 + 0.002*sl 0.066 + 0.002*sl 0.068 + 0.002*sl t f 0.107 0.102 + 0.002*sl 0.103 + 0.002*sl 0.113 + 0.002*sl t plh 0.170 0.165 + 0.002*sl 0.168 + 0.002*sl 0.190 + 0.001*sl t phl 0.200 0.194 + 0.003*sl 0.198 + 0.002*sl 0.231 + 0.001*sl d2 to y t r 0.070 0.065 + 0.003*sl 0.067 + 0.002*sl 0.067 + 0.002*sl t f 0.107 0.102 + 0.002*sl 0.103 + 0.002*sl 0.113 + 0.002*sl t plh 0.168 0.163 + 0.002*sl 0.166 + 0.002*sl 0.188 + 0.001*sl t phl 0.199 0.193 + 0.003*sl 0.197 + 0.002*sl 0.230 + 0.001*sl d3 to y t r 0.071 0.066 + 0.003*sl 0.067 + 0.002*sl 0.067 + 0.002*sl t f 0.106 0.102 + 0.002*sl 0.103 + 0.002*sl 0.113 + 0.002*sl t plh 0.168 0.163 + 0.002*sl 0.167 + 0.002*sl 0.188 + 0.001*sl t phl 0.199 0.193 + 0.003*sl 0.197 + 0.002*sl 0.230 + 0.001*sl d4 to y t r 0.070 0.065 + 0.003*sl 0.067 + 0.002*sl 0.066 + 0.002*sl t f 0.107 0.103 + 0.002*sl 0.103 + 0.002*sl 0.114 + 0.002*sl t plh 0.167 0.162 + 0.002*sl 0.166 + 0.002*sl 0.188 + 0.001*sl t phl 0.199 0.193 + 0.003*sl 0.197 + 0.002*sl 0.230 + 0.001*sl d5 to y t r 0.070 0.065 + 0.003*sl 0.067 + 0.002*sl 0.066 + 0.002*sl t f 0.107 0.103 + 0.002*sl 0.103 + 0.002*sl 0.114 + 0.002*sl t plh 0.167 0.162 + 0.002*sl 0.166 + 0.002*sl 0.188 + 0.001*sl t phl 0.199 0.193 + 0.003*sl 0.197 + 0.002*sl 0.230 + 0.001*sl d6 to y t r 0.069 0.064 + 0.002*sl 0.064 + 0.002*sl 0.068 + 0.002*sl t f 0.107 0.103 + 0.002*sl 0.103 + 0.002*sl 0.114 + 0.002*sl t plh 0.164 0.160 + 0.002*sl 0.163 + 0.002*sl 0.185 + 0.001*sl t phl 0.196 0.190 + 0.003*sl 0.195 + 0.002*sl 0.227 + 0.001*sl d7 to y t r 0.069 0.064 + 0.002*sl 0.064 + 0.002*sl 0.068 + 0.002*sl t f 0.107 0.103 + 0.002*sl 0.103 + 0.002*sl 0.114 + 0.002*sl t plh 0.164 0.160 + 0.002*sl 0.163 + 0.002*sl 0.185 + 0.001*sl t phl 0.196 0.190 + 0.003*sl 0.195 + 0.002*sl 0.227 + 0.001*sl s0 to y t r 0.071 0.066 + 0.002*sl 0.067 + 0.002*sl 0.068 + 0.002*sl t f 0.107 0.103 + 0.002*sl 0.103 + 0.002*sl 0.113 + 0.002*sl t plh 0.232 0.227 + 0.002*sl 0.230 + 0.002*sl 0.252 + 0.001*sl t phl 0.261 0.255 + 0.003*sl 0.259 + 0.002*sl 0.292 + 0.001*sl s1 to y t r 0.070 0.065 + 0.002*sl 0.065 + 0.002*sl 0.067 + 0.002*sl t f 0.106 0.102 + 0.002*sl 0.102 + 0.002*sl 0.112 + 0.002*sl t plh 0.140 0.135 + 0.002*sl 0.138 + 0.002*sl 0.160 + 0.001*sl t phl 0.163 0.157 + 0.003*sl 0.162 + 0.002*sl 0.194 + 0.001*sl
samsung asic 3-395 stdh150 mx8/mx8d2/mx8d4 8 > 1 non-inverting mux with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) mx8d4 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* s2 to y t r 0.069 0.063 + 0.003*sl 0.065 + 0.002*sl 0.064 + 0.002*sl t f 0.102 0.097 + 0.002*sl 0.099 + 0.002*sl 0.109 + 0.002*sl t plh 0.109 0.104 + 0.002*sl 0.107 + 0.002*sl 0.129 + 0.001*sl t phl 0.132 0.126 + 0.003*sl 0.131 + 0.002*sl 0.164 + 0.001*sl *group1 : sl < 4, *group2 : 4 sl < < = = 49, *group3 : 49 < sl
stdh150 3-396 samsung asic integrated clock-gating cells cell list cell name function description cglp positive edge triggered clock-gating with 1x drive cglpd2 positive edge triggered clock-gating with 2x drive cglpd4 positive edge triggered clock-gating with 4x drive
samsung asic 3-397 stdh150 cglp/cglpd2/cglpd4 positive edge triggered clock-gating with 1x/2x/4x drive logic symbol cell data schematic diagram input load (sl) cglp cglpd2 cglpd4 en ck te en ck te en ck te 0.8 0.8 0.9 0.8 0.8 0.9 0.8 0.8 0.9 gate count cglp cglpd2 cglpd4 5.33 6.00 6.67 truth table ck en te iq(n+1) gck(n+1) lxxx l hlll l hlhh h hhlh h hhhh h te en ck gck ld5q iq ck gck en te clb cl clb cl cl cl clb
stdh150 3-398 samsung asic cglp/cglpd2/cglpd4 positive edge triggered clock-gating with 1x/2x/4x drive timing requirements (typical process, 25 c, 1.2v, unit = ns) parameter symbol value (ns) cglp cglpd2 cglpd4 input setup time (en to ck) t su 0.087 0.087 0.087 input hold time (en to ck) t hd 0.010 0.010 0.010 pulse width low (ck) t pwl 0.099 0.099 0.099 input setup time (te to ck) t su 0.103 0.103 0.103 input hold time (te to ck) t hd 0.010 0.010 0.010
samsung asic 3-399 stdh150 cglp/cglpd2/cglpd4 positive edge triggered clock-gating with 1x/2x/4x drive switching characteristics (typical process, 25 c, 1.2v, t r /t f = 0.07ns, sl: standard load) cglp cglpd2 cglpd4 path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* ck to gck t r 0.037 0.019 + 0.009*sl 0.018 + 0.009*sl 0.011 + 0.010*sl t f 0.029 0.015 + 0.007*sl 0.014 + 0.007*sl 0.013 + 0.007*sl t plh 0.094 0.084 + 0.005*sl 0.086 + 0.004*sl 0.087 + 0.004*sl t phl 0.096 0.087 + 0.005*sl 0.090 + 0.004*sl 0.091 + 0.004*sl *group1 : sl < 4, *group2 : 4 sl < < = = 15, *group3 : 15 < sl path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* ck to gck t r 0.029 0.020 + 0.004*sl 0.019 + 0.005*sl 0.013 + 0.005*sl t f 0.025 0.017 + 0.004*sl 0.018 + 0.004*sl 0.014 + 0.004*sl t plh 0.095 0.089 + 0.003*sl 0.091 + 0.002*sl 0.094 + 0.002*sl t phl 0.097 0.092 + 0.003*sl 0.095 + 0.002*sl 0.099 + 0.002*sl *group1 : sl < 4, *group2 : 4 sl < < = = 26, *group3 : 26 < sl path parameter delay [ns] sl = 2 delay equations [ns] group1* group2* group3* ck to gck t r 0.031 0.026 + 0.003*sl 0.027 + 0.002*sl 0.021 + 0.002*sl t f 0.027 0.022 + 0.002*sl 0.025 + 0.002*sl 0.024 + 0.002*sl t plh 0.104 0.100 + 0.002*sl 0.102 + 0.001*sl 0.109 + 0.001*sl t phl 0.105 0.101 + 0.002*sl 0.104 + 0.001*sl 0.113 + 0.001*sl *group1 : sl < 4, *group2 : 4 sl < < = = 49, *group3 : 49 < sl


▲Up To Search▲   

 
Price & Availability of STDH150ASIC

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X